ace_unsynth_changelog.txt 221 Bytes
Newer Older
lwc-tester committed
1 2 3 4 5 6 7 8 9
**** Line 130 (old), 132 (new) ****
**** Line 198 (old), 200 (new) ****
**** Line 227 (old), 230 (new) ****

  Description:
    added a hold statement to delay primary inputs from clock edge

  Change:
    wait for hold;