-- -- SKINNY-Hash Reference Hardware Implementation -- -- Copyright 2019: -- Amir Moradi & Pascal Sasdrich for the SKINNY Team -- https://sites.google.com/site/skinnycipher/ -- -- This program is free software; you can redistribute it and/or -- modify it under the terms of the GNU General Public License as -- published by the Free Software Foundation; either version 2 of the -- License, or (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- General Public License for more details. -- -- IMPORTS ---------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; -- ENTITY ---------------------------------------------------------------------------------- ENTITY ScanFF IS GENERIC (SIZE : INTEGER); PORT ( CLK : IN STD_LOGIC; SE : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR((SIZE - 1) DOWNTO 0); DS : IN STD_LOGIC_VECTOR((SIZE - 1) DOWNTO 0); Q : OUT STD_LOGIC_VECTOR((SIZE - 1) DOWNTO 0)); END ScanFF; -- ARCHITECTURE : STRUCTURAL ---------------------------------------------------------------------------------- ARCHITECTURE Structural OF ScanFF IS -- COMPONENTS ----------------------------------------------------------------- COMPONENT dflipfloplw IS PORT ( CLK : IN STD_LOGIC; SEL : IN STD_LOGIC; D0 : IN STD_LOGIC; D1 : IN STD_LOGIC; Q : OUT STD_LOGIC); END COMPONENT; ------------------------------------------------------------------------------- BEGIN GEN : FOR I IN 0 TO (SIZE - 1) GENERATE SFF : dflipfloplw PORT MAP (CLK, SE, D(I), DS(I), Q(I)); END GENERATE; END Structural;