/* Implementation of the Lilliput-AE tweakable block cipher. Authors, hereby denoted as "the implementer": Kévin Le Gouguec, 2019. For more information, feedback or questions, refer to our website: https://paclido.fr/lilliput-ae To the extent possible under law, the implementer has waived all copyright and related or neighboring rights to the source code in this file. http://creativecommons.org/publicdomain/zero/1.0/ --- This file defines constants specific to Lilliput-I-128. */ #ifndef PARAMETERS_H #define PARAMETERS_H #define KEY_LENGTH_BITS 128 #define ROUNDS 32 #define TWEAK_LENGTH_BITS 192 #endif /* PARAMETERS_H */